Root NationČlanciTehnologijeTranzistori budućnosti: Očekuje nas nova era čipova

Tranzistori budućnosti: Očekuje nas nova era čipova

-

Danas ćemo govoriti o tranzistorima budućnosti i otkriti sve tajne njihovog stvaranja. Već danas je jasno da se nalazimo pred periodom velikih promjena u strukturi i načinu proizvodnje čipova, kakve tržište odavno nije vidjelo. Najveći svjetski umovi provode neprospavane noći pitajući se koju formulu da koriste da natjeraju pojedinačne atome da plešu upravo onako kako im je potrebno i da rade stvari koje izgledaju kao da prkose zakonima fizike.

Čipset

To će također biti period pojačane konkurencije između poluvodičkih giganata iz SAD-a, Koreje i Tajvana. Oni su ti koji pokušavaju iskoristiti nadolazeću promjenu paradigme kako bi obnovili, stekli ili ojačali svoje pozicije tehnoloških lidera. Kakve nas inovacije i revolucije očekuju? Pokušajmo danas objasniti.

Pročitajte i: Šta je AMD XDNA? Arhitektura koja pokreće AI na Ryzen procesorima

Promjena geometrije tranzistora

Ili bolje rečeno, njihovi ciljevi će se promijeniti. Prva inovacija koju će (ili je bila!) predstaviti velika tri proizvođača poluprovodnika (TSMC, Intel, Samsung), to su takozvani GAAFET tranzistori. Ovo je prva takva velika promjena u geometriji tranzistora od 2011. godine, kada je svijet vidio Intelove FinFET tranzistore. Ne želim da se previše zadržavam na temi GAAFET-a, jer to zahteva poseban članak. Ovdje ćemo raspravljati samo o konceptu koji stoji iza njih.

Čipset
Planarni tranzistor

Sa minijaturizacijom tranzistora, inženjeri su počeli da doživljavaju takozvane efekte kratkog kanala. Ukratko, kako se razmak između drena i drena tranzistora smanjivao, problem je postajao sve veći i veći. Odnosno, zatvarač je počeo gubiti kontrolu nad strujom koja teče kroz kanal. Desetak godina, rješenje za ovaj problem bilo je kako učiniti da kanal viri iz površine silikonske pločice kao pero (otuda Fin, ili pero, u FinFET-u). Ovo omogućava kapiji da kontaktira kanal s tri strane (ili dvije ako rub ima poprečni presjek u obliku klina), dajući mu veću kontrolu nad strujnim tokom i veću fleksibilnost u prilagođavanju električnih parametara tranzistora potrebama dizajn.

Čipset

Međutim, stalno smanjenje tranzistora značilo je da to više nije dovoljno. Bilo je neophodno da kapija počne da okružuje tranzistorski kanal, odnosno da formira GAAFET tranzistore (GAA je skraćenica za Gate-All-Around). Jednostavno rečeno, o njima možete razmišljati kao o FinFET tranzistorima postavljenim na jednoj strani, budući da FinFET tranzistori često imaju dva ili tri ruba. To je poput višeslojnog sendviča, u kojem su kanali u obliku cijevi ili listova, smješteni jedan iznad drugog, razdvojeni slojevima izolatora i kapije. Iako je ovaj koncept poznat već dugi niz godina i koristi postojeću opremu i procese, njegova implementacija nije trivijalna. Problem je u tome što u nekoj fazi naredni slojevi kanala vise u vazduhu, podržani samo privremenim "stubom". Istovremeno, njihov donji dio treba ravnomjerno prekriti slojem dielektrika debljine jednog atoma, a zatim pažljivo ispuniti sve prazne prostore materijalom.

Činjenica da GAAFET-ovi nisu trivijalni je naglašena situacijom sa Samsung. Od 2022. godine, korejski portfelj ima proces sa MBCFET tranzistorima (marketinški naziv Samsung za implementaciju GAAFET tranzistora). U praksi, međutim, ovo je tipična pirova pobeda u trci. Činjenica je da je postotak potpuno funkcionalnih čipova dobijenih pomoću njega toliko nizak da gotovo niko ne želi da ga koristi u proizvodnji (čak i… Samsung za vaš Exynos). Sve što znamo je da se koristi za proizvodnju malih i relativno jednostavnih čipova za rudare kriptovaluta. Očekuje se da će tek druga generacija ovog procesa, koja će biti dostupna 2024. godine, nazvana 3GAP (iako neki izvori kažu da bi se mogao preimenovati u proces klase 2nm), biti šire korištena.

- Advertisement -

GAAFET tranzistori (Intel svoju implementaciju naziva RibbonFET) trebalo bi da budu isporučeni Intelovim fabrikama ove godine kao deo Intelovih 20A i 18A procesa, koji će se koristiti za proizvodnju komponenti za sisteme Arrow Lake i Lunar Lake. Međutim, razne industrijske glasine sugeriraju da bi početni obim proizvodnje mogao biti ograničen.

Čipset

Šta je sa TSMC? Tajvanska kompanija planira koristiti GAAFET tranzistore u svom N2 procesu, za koji se ne očekuje da će biti potpuno spreman do 2025. godine. Teoretski kasnije nego u Samsung i Intel, ali kada TSMC govori o tome da ima određeni proces, to obično znači da ste spremni da proizvedete nešto za šta Apple і Nvidia, tako da u praksi razlika može biti mnogo manja.

Pročitajte također: Sve o Neuralink Telepathy čipu: šta je to i kako radi

Promjena načina na koji se tranzistori napajaju

Druga inovacija koja nas očekuje odnosi se na to kako će se napajati tranzistori u mikro krugovima. Trenutno se proces proizvodnje mikroprocesora odvija u slojevima odozdo prema gore. Tranzistori se ugrađuju ispod, zatim se iznad njih izgrađuju priključne mreže, a zatim i kablovi za napajanje. Obično ima deset do preko dvadeset slojeva, a što je sloj viši, to su njegovi elementi veći.

U narednih nekoliko godina, standard će biti da će nakon pravljenja spojeva između tranzistora, silicijumska pločica biti preokrenuta, istanjena, a putevi napajanja će se stvoriti na drugoj, uglačanoj strani pločice. To znači da će tranzistori biti kao pljeskavica u hamburgeru, a ne baza torte.

Čipset

Lako je pretpostaviti koliko će to zakomplikovati proces proizvodnje čipova, ali prema prvim eksperimentima, BSPDN (Back Side Power Delivery Network) proces donosi mnoge prednosti. Prvo, zahvaljujući ovom pristupu, tranzistori se mogu postaviti bliže jedan drugom. Drugo, ukupan broj slojeva će biti manji. Treće, veze od najvišeg nivoa napajanja do tranzistora će biti kraće. A to znači manji gubitak energije i mogućnost smanjenja napona napajanja. Tačni načini implementacije ovog rješenja mogu se razlikovati po složenosti i potencijalnim prednostima, ali svi glavni igrači na tržištu kažu da je igra definitivno vrijedna svijeće.

Kasnije ove godine videćemo BSPDN u akciji po prvi put u Intel Process 20A (Intel svoju implementaciju naziva PowerVia). Intel ovaj brzi razvoj duguje činjenici da već neko vrijeme radi na ovoj tehnologiji, bez obzira na rad na promjeni geometrije tranzistora i korištenje novijih mašina. To znači da će moći da ga integriše u skoro svaki budući proces.

Samsung još nije dostavio nikakve službene informacije o tome kada će početi koristiti svoju verziju BSPDN procesa povratnih informacija. Nema puno novosti, ali znamo da Intel već eksperimentiše s ovim rješenjem. A industrijske glasine govore o mogućnosti njegove implementacije u procesu SF2, planiranom za 2025., ili u narednom, koji je planiran za 2027. godinu.

TSMC također odvaja svoje vrijeme u ovoj oblasti i izvještava da iako prvi eksperimenti donose dobre rezultate, namjerava uvesti BSPDN u N2P proces, koji je planiran za implementaciju tek na prijelazu iz 2026. u 2027. godinu.

Pročitajte također: Teleportacija sa naučne tačke gledišta i njena budućnost

Promena mašina za ekspoziciju ploča

Nijedan ozbiljan razgovor o proizvodnji mikroprocesora nije potpun bez spominjanja Rayleighovog kriterija. U slučaju litografije, odnosno procesa izlaganja silikonskih pločica, to ima oblik sljedeće formule:

- Advertisement -

CD = k1 • λ / NA.

Jednostavno rečeno, to znači da veličina najmanjeg elementa koji se može stvoriti svjetlom na površini silikonske pločice ovisi o tri broja:

k1 je bezdimenzionalni koeficijent u praksi koji ukazuje na efikasnost procesa;
λ je talasna dužina svjetlosti koja obasjava ploču;
NA je numerički otvor optičkog sistema.

Dugi niz godina, glavni način povećanja gustoće pakiranja tranzistora bio je korištenje svjetlosti sve kraćih valnih dužina. Počeli smo na nivou od nekoliko stotina nanometara i mogli smo relativno brzo da pređemo na korišćenje svetlosti na talasnoj dužini od 193 nm, na kojoj je svet poluprovodnika ostao zaglavljen mnogo duže nego što je želeo. Nakon godina istraživanja, kašnjenja i potrošenih milijardi dolara, ASML-ove mašine za UV litografiju su 2019. konačno izašle na tržište. Koriste ultraljubičasto svjetlo (EUV) s talasnom dužinom od oko 13,5 nm i sada se koriste u svim naprednim postrojenjima za proizvodnju čipova. Međutim, ovo je vjerovatno posljednji put da je λ uspješno smanjen u gornjoj formuli.

Čipset

Zato ćete se morati poigrati sa promjenom NA. Možete zamisliti NA kao otvor blende objektiva kamere. Ovaj bezdimenzionalni broj određuje koliko svjetlosti optički sistem prikuplja. U slučaju litografskih mašina, to znači (prema gornjoj formuli) da ako želimo da pravimo sve manje i manje karakteristike, NA treba da bude veći. ASML mašine koje se trenutno koriste imaju NA od 0,33. Sledeći korak su mašine sa velikim numeričkim otvorom optičkog sistema, koje imaju NA od 0,55.

Zvuči jednostavno, ali ništa nije jednostavno u ovom poslu. Ovo najbolje ilustruje činjenica da su High-NA mašine mnogo veće i više nego dvostruko skuplje od svojih prethodnika (oko 400 miliona dolara naspram oko 150 miliona dolara), a istovremeno imaju i manju propusnost. Stoga, iako svi znaju da je ovo budućnost proizvodnje najnaprednijih procesora, često se to doživljava kao oblik nužnog zla.

Čipset

Intel je najbrže koristio EUV High-NA mašine. Američka kompanija je već kupila prvu dostupnu mašinu ovog tipa, koja se trenutno ugrađuje u jednom od pogona kompanije u Oregonu. Takođe, Intel planira da kupi većinu mašina proizvedenih ove godine. Poznato je da programeri planiraju da koriste High-NA litografiju u velikom obimu u procesu 14A, za koji se očekuje da će ugledati svjetlo dana 2026. ili 2027. godine (ako sve bude išlo po planu).

Istovremeno, Samsung i TSMC ne žure, sumnjajući u ekonomski smisao korištenja ove opreme do implementacije 1-nm procesa, odnosno do otprilike 2030. godine. Umjesto toga, oni namjeravaju da izvuku najbolje iz EUV mašina koje već imaju raznim trikovima i poboljšanjima procesa koji potpadaju pod okrilje faktora k1.

Također zanimljivo: Kako se Tajvan, Kina i SAD bore za tehnološku dominaciju: veliki rat čipova

Prebacite se na 3D

Sada krećemo u zonu neizvjesne budućnosti, istraživačkog rada i općih pretpostavki, a ne konkretnih planova. Međutim, zajednica je prilično jednoglasna da će doći vrijeme kada će tranzistori morati biti naslagani jedan na drugi jer X i Y skaliranje praktički dostigne svoju granicu. Trenutno su tranzistori P-tipa i N-tipa postavljeni jedan pored drugog. Cilj je složiti tranzistori N-tipa na tranzistore P-tipa, stvarajući tako „sendviče“ tranzistora zvane CFET-ovi (komplementarni FET-ovi). Proučavaju se dvije glavne metode postizanja takvog dizajna: monolitna, u kojoj je cijela konstrukcija izgrađena na jednoj ploči, i sekvencijalna, u kojoj se tranzistori N- i P-tipa proizvode na odvojenim pločama koje su "zalijepljene" zajedno.

Čipset

Prema mišljenju stručnjaka, tržište proizvodnje mikroprocesora ući će u treću dimenziju oko 2032-2034. Trenutno je poznato da Intel i TSMC intenzivno rade na implementaciji ove tehnologije, ali Samsung, vjerovatno ni ne spava, jer su potencijalne prednosti korištenja ovog rješenja ogromne.

Također zanimljivo: Univerzum: Najneobičniji svemirski objekti

Prelazak na "dve dimenzije"

Još jedan problem s kojim se pokušavaju izboriti lideri u svijetu proizvodnje mikro krugova je banalna nestašica silicija. Ovaj element nam je vjerno služio nekoliko desetljeća, ali njegova ograničena količina počinje onemogućavati daljnju proizvodnju manjih i bržih tranzistora. Stoga su širom svijeta u toku istraživanja takozvanih dvodimenzionalnih materijala koji bi mogli zamijeniti silicij u tranzistorskom kanalu. To su materijali čija debljina može biti nekoliko ili samo jedan atom, a obezbjeđuju pokretljivost električnog naboja, što nije dostupno za silicijumske poluvodiče ove debljine.

Čipset

Najpoznatiji dvodimenzionalni materijal je grafen. Iako se njegova upotreba u proizvodnji čipova još uvijek istražuje, zbog nedostatka prirodnog energetskog jaza, upitno je da li će se ikada koristiti u industrijskom obimu za proizvodnju poluvodiča. Međutim, istraživanja koristeći TMD jedinjenja (Tranzicioni metalni dihalkogenidi - jedinjenja prelaznih metala d bloka periodnog sistema i halkogena 16. grupe periodnog sistema), kao npr. MoS 2 i WSe 2, koje sprovode Intel i TSMC, izgledaju prilično obećavajuće. Njihove posljedice ćemo moći vidjeti u narednoj deceniji.

Pročitajte također:

Pred nama su zanimljiva vremena

Sumirajući, napominjem da će naredne godine biti pune inovacija i revolucija u oblasti proizvodnje poluprovodnika. Gore opisane inovacije čak ne iscrpljuju temu, jer nismo spomenuli ništa o kompjuterskoj litografiji, niti o razvoju čipleta, niti o potencijalnom prelasku na bazu Glass procesora. Takođe nismo razgovarali o napretku u proizvodnji memorije.

Čipset

Svi znaju da su takve prekretnice idealne za sustizanje tehnološkog zaostajanja, jer postoji velika vjerovatnoća da će konkurenti podbaciti. Intel je čak stavio čitavu budućnost kompanije u mogućnost da ponudi sledeću poluprovodničku inovaciju brže od konkurencije. Američka vlada je takođe veoma zainteresovana da proizvodnju najsavremenijih čipova vrati u Severnu Ameriku, zbog čega ulaže milijarde dolara u Intelov razvoj. Međutim, subvencije za čipove nisu samo područje od interesa za Amerikance. U Koreji i Tajvanu, vlade takođe daju velikodušne povlastice Samsung i TSMC, jer znaju koliko je važan budući period i koliko budućnost ovih zemalja zavisi od novih tehnologija. Između ostalog i zato što iza sebe imaju Kinu koja takođe ulaže ogromne količine u istraživanje, razvoj i razvoj proizvodnje poluprovodnika, ali to je već tema za drugi članak.

Pročitajte također: 

Yuri Svitlyk
Yuri Svitlyk
Sin Karpata, nepriznati genije matematike, "advokat"Microsoft, praktični altruista, lijevo-desno
- Advertisement -
Prijaviti se
Obavijesti o
gost

0 Komentari
Embedded Reviews
Pogledaj sve komentare