Root NationArtikkelitTeknologiatTulevaisuuden transistorit: Uusi sirujen aikakausi odottaa meitä

Tulevaisuuden transistorit: Uusi sirujen aikakausi odottaa meitä

-

Tänään puhumme tulevaisuuden transistoreista ja paljastamme kaikki niiden luomisen salaisuudet. Jo tänään on selvää, että elämme valtavien muutosten aikaa haketuotannon rakenteessa ja menetelmässä, joita markkinat eivät ole nähneet pitkään aikaan. Maailman suurimmat mielet viettävät unettomia öitä pohtien, mitä kaavaa käyttää saadakseen yksittäiset atomit tanssimaan juuri niin kuin ne tarvitsevat ja tekemään asioita, jotka näyttävät rikkovan fysiikan lakeja.

Piirisarja

Se tulee olemaan myös koventunut kilpailu amerikkalaisten, Korean ja Taiwanin puolijohdejättiläisten välillä. He yrittävät hyödyntää tulevaa paradigman muutosta palauttaakseen, saadakseen tai vahvistaakseen asemaansa teknologiajohtajina. Mitkä innovaatiot ja vallankumoukset odottavat meitä? Yritetään selittää tänään.

Lue myös: Mikä on AMD XDNA? Ryzen-prosessorien tekoälyä käyttävä arkkitehtuuri

Transistorien geometrian muuttaminen

Tai pikemminkin heidän tavoitteensa muuttuvat. Ensimmäinen innovaatio, jonka kolme suurta puolijohdevalmistajaa (TSMC, Intel, Samsung), nämä ovat niin sanottuja GAAFET-transistoreja. Tämä on ensimmäinen näin suuri muutos transistorin geometriassa sitten vuoden 2011, jolloin maailma näki Intelin FinFET-transistorit. En halua puhua liikaa GAAFET-aiheesta, koska se vaatii erillisen artikkelin. Tässä käsittelemme vain niiden takana olevaa konseptia.

Piirisarja
Tasotransistori

Transistorien miniatyrisoinnin myötä insinöörit alkoivat kokea niin sanottuja lyhytkanavaefektejä. Lyhyesti sanottuna, kun nielun ja transistorin nielun välinen etäisyys lyheni, ongelma kasvoi ja kasvoi. Toisin sanoen suljin alkoi menettää hallinnan kanavan läpi kulkevaan virtaan. Kymmeniä vuosia ratkaisuna tähän ongelmaan oli se, kuinka saada kanava ulkonemaan piikiekon pinnasta evänä (siis FinFETissä Fin, tai evä). Tämä mahdollistaa sen, että portti koskettaa kanavaa kolmelta sivulta (tai kahdelta, jos reunalla on kiilamainen poikkileikkaus), mikä antaa sille paremman virran hallinnan ja enemmän joustavuutta sovittaa transistorien sähköiset parametrit kanavan tarpeisiin. design.

Piirisarja

Transistorien tasainen väheneminen merkitsi kuitenkin sitä, että tämä ei enää riittänyt. Oli välttämätöntä, että portti alkoi ympäröidä transistorikanavaa, eli se muodosti GAAFET-transistorit (GAA on lyhenne sanoista Gate-All-Around). Yksinkertaisesti sanottuna voit ajatella niitä FinFET-transistoreina, jotka on sijoitettu yhdelle puolelle, koska FinFET-transistoreilla on usein kaksi tai kolme reunaa. Se on kuin monikerroksinen sandwich, jossa putkien tai levyjen muodossa olevat kanavat, jotka sijaitsevat päällekkäin, on erotettu toisistaan ​​eriste- ja porttikerroksilla. Vaikka tämä konsepti on ollut tunnettu jo vuosia ja käyttää olemassa olevia laitteita ja prosesseja, sen toteutus ei ole triviaalia. Ongelmana on, että jossain vaiheessa seuraavat kanavan kerrokset roikkuvat ilmassa vain väliaikaisen "pilarin" tukemana. Samanaikaisesti niiden alaosa tulee peittää tasaisesti dielektrisellä kerroksella, jonka paksuus on yksi atomi, ja täytä sitten huolellisesti kaikki tyhjät tilat materiaalilla.

Tilanne korostaa sitä tosiasiaa, että GAAFETit eivät ole triviaaleja Samsung. Vuodesta 2022 lähtien korealaisessa portfoliossa on ollut prosessi MBCFET-transistoreilla (markkinointinimi Samsung toteuttaa GAAFET-transistorit). Käytännössä tämä on kuitenkin tyypillinen pyrroksen voitto kilpailussa. Tosiasia on, että sillä saatujen täysin toimivien sirujen prosenttiosuus on niin alhainen, että melkein kukaan ei halua käyttää sitä tuotannossa (jopa… Samsung Exynosillesi). Tiedämme vain, että sitä käytetään pienten ja suhteellisen yksinkertaisten sirujen tuottamiseen kryptovaluutan kaivostyöntekijöille. Vain tämän prosessin toista sukupolvea, joka tulee saataville vuonna 2024, nimeltään 3GAP (vaikka joidenkin lähteiden mukaan se voitaisiin nimetä uudelleen 2nm-luokan prosessiksi), odotetaan olevan laajemmin käytössä.

- Mainos -

GAAFET-transistorit (Intel kutsuu toteutustaan ​​RibbonFET:ksi) pitäisi toimittaa Intelin tehtaille tänä vuonna osana Intelin 20A ja 18A prosesseja, joita käytetään komponenttien valmistukseen Arrow Lake- ja Lunar Lake -järjestelmiin. Eri teollisuuden huhut viittaavat kuitenkin siihen, että alkuperäinen tuotantoskaala saattaa olla rajoitettu.

Piirisarja

Entä TSMC? Taiwanilainen yritys aikoo käyttää GAAFET-transistoreja N2-prosessissaan, jonka odotetaan olevan täysin valmis vasta vuonna 2025. Teoriassa myöhemmin kuin vuonna Samsung ja Intel, mutta kun TSMC puhuu tietystä prosessista, se tarkoittaa yleensä sitä, että on valmis tuottamaan jotain Apple і Nvidia, joten käytännössä ero voi olla paljon pienempi.

Lue myös: Kaikki Neuralink Telepathy -sirusta: mikä se on ja miten se toimii

Transistorien virransyöttötavan muuttaminen

Toinen meitä odottava innovaatio liittyy siihen, miten mikropiirien transistorit saavat virtaa. Tällä hetkellä mikroprosessorin valmistusprosessi tapahtuu kerroksittain alhaalta ylöspäin. Transistorit rakennetaan alle, sitten niiden yläpuolelle yhteysverkot ja sitten virtakaapelit. Kerroksia on tyypillisesti kymmenestä yli kahteenkymmeneen, ja mitä korkeampi kerros, sitä suurempia sen elementtejä.

Seuraavien vuosien aikana standardi on, että transistoreiden välisten liitosten tekemisen jälkeen piikiekko käännetään, ohennetaan ja voimareitit luodaan kiekon toiselle, kiillotetulle puolelle. Tämä tarkoittaa, että transistorit ovat kuin pihvi hampurilaisissa, eivät kakun pohja.

Piirisarja

On helppo arvata, kuinka paljon se vaikeuttaa sirujen valmistusprosessia, mutta ensimmäisten kokeiden mukaan BSPDN (Back Side Power Delivery Network) -prosessi tuo monia etuja. Ensinnäkin tämän lähestymistavan ansiosta transistorit voidaan sijoittaa lähemmäksi toisiaan. Toiseksi kerrosten kokonaismäärä on pienempi. Kolmanneksi kytkennät virtalähteen korkeimmasta tasosta transistoriin ovat lyhyempiä. Tämä tarkoittaa pienempää energiahäviötä ja mahdollisuutta alentaa syöttöjännitettä. Tarkat tavat toteuttaa tämä ratkaisu voi vaihdella monimutkaisuuden ja mahdollisten hyötyjen suhteen, mutta kaikki markkinoiden suuret toimijat sanovat, että peli on ehdottomasti kynttilän arvoinen.

Myöhemmin tänä vuonna näemme BSPDN:n toiminnassa ensimmäistä kertaa Intel Prossacess 20A (Intel kutsuu toteutustaan ​​PowerViaksi). Intelin nopea kehitys johtuu siitä, että se on työskennellyt tämän tekniikan parissa jo jonkin aikaa, riippumatta transistorien geometrian muuttamisesta ja uudempien koneiden käytöstä. Tämä tarkoittaa, että hän pystyy integroimaan sen melkein mihin tahansa tulevaan prosessiin.

Samsung ei ole vielä toimittanut virallisia tietoja siitä, milloin se alkaa käyttää versiotaan BSPDN-palauteprosessista. Ei ole paljon uutisia, mutta tiedämme, että Intel on jo kokeillut tätä ratkaisua. Ja alan huhut puhuvat mahdollisuudesta ottaa se käyttöön SF2-prosessissa, joka on suunniteltu vuodelle 2025, tai seuraavaan, joka on suunniteltu vuodelle 2027.

TSMC vie aikaa myös tällä alueella ja raportoi, että vaikka ensimmäiset kokeilut tuovat hyviä tuloksia, se aikoo ottaa BSPDN:n mukaan N2P-prosessiin, joka on suunniteltu toteutettavaksi vasta vuosien 2026 ja 2027 vaihteessa.

Lue myös: Teleportaatio tieteellisestä näkökulmasta ja sen tulevaisuus

Levyvalotuskoneiden vaihto

Mikään vakava keskustelu mikroprosessorien valmistuksesta ei ole täydellinen mainitsematta Rayleigh-kriteeriä. Litografian, toisin sanoen piikiekkojen paljastamisprosessin, tapauksessa tämä tapahtuu seuraavan kaavan muodossa:

- Mainos -

CD = k1 • λ / NA.

Yksinkertaisesti sanottuna tämä tarkoittaa, että pienimmän elementin koko, joka voidaan luoda valolla piikiekon pinnalle, riippuu kolmesta numerosta:

k1 on käytännössä dimensioton kerroin, joka ilmaisee prosessin tehokkuuden;
λ on levyä valaisevan valon aallonpituus;
NA on optisen järjestelmän numeerinen aukko.

Useiden vuosien ajan tärkein tapa lisätä transistorien pakkaustiheyttä on ollut käyttää valoa, jonka aallonpituudet ovat yhä lyhyemmät. Aloitimme muutaman sadan nanometrin tasolta ja pystyimme suhteellisen nopeasti siirtymään käyttämään valoa 193 nm aallonpituudella, johon puolijohdemaailma on juuttunut paljon pidempään kuin se halusi. Vuosien tutkimuksen, viivästysten ja käytettyjen miljardien dollarien jälkeen ASML:n UV-litografiakoneet tulivat vihdoin markkinoille vuonna 2019. Ne käyttävät ultraviolettivaloa (EUV), jonka aallonpituus on noin 13,5 nm, ja niitä käytetään nyt kaikissa kehittyneissä sirujen valmistuslaitoksissa. Tämä on kuitenkin luultavasti viimeinen kerta, kun λ pienennettiin onnistuneesti yllä olevassa kaavassa.

Piirisarja

Siksi sinun täytyy leikkiä NA: n vaihtamisella. Voit ajatella NA:ta kameran linssin aukona. Tämä mittaton luku määrittää kuinka paljon valoa optinen järjestelmä kerää. Litografisten koneiden tapauksessa tämä tarkoittaa (yllä olevan kaavan mukaan), että jos haluamme tehdä yhä pienempiä ominaisuuksia, sitä korkeampi NA: n tulee olla. Tällä hetkellä käytössä olevien ASML-koneiden NA on 0,33. Seuraava askel on koneet, joissa on korkea optisen järjestelmän numeerinen aukko ja joiden NA on 0,55.

Se kuulostaa yksinkertaiselta, mutta mikään ei ole yksinkertaista tässä liiketoiminnassa. Tätä kuvaa parhaiten se tosiasia, että High-NA-koneet ovat paljon suurempia ja yli kaksi kertaa kalliimpia kuin edeltäjänsä (noin 400 miljoonaa dollaria vs. noin 150 miljoonaa dollaria), mutta niiden suorituskyky on myös pienempi. Siksi, vaikka kaikki tietävät, että tämä on edistyneimpien prosessorien valmistuksen tulevaisuus, se nähdään usein välttämättömän pahan muotona.

Piirisarja

Intel käytti nopeimmin EUV High-NA -koneita. Amerikkalainen yritys on jo ostanut ensimmäisen saatavilla olevan tämäntyyppisen koneen, joka on parhaillaan asennettuna yhdelle yhtiön tehtaista Oregonissa. Intel aikoo myös ostaa suurimman osan tänä vuonna tuotetuista koneista. Tiedetään, että kehittäjät aikovat käyttää High-NA-litografiaa suuressa mittakaavassa 14A-prosessissa, jonka odotetaan näkevän päivänvalon vuonna 2026 tai 2027 (jos kaikki menee suunnitelmien mukaan).

Samanaikaisesti, Samsung ja TSMC:llä ei ole kiirettä, koska he epäilevät tämän laitteen käytön taloudellista järkeä 1 nm:n prosessin toteuttamiseen saakka, eli noin vuoteen 2030 saakka. Sen sijaan he aikovat puristaa parasta irti jo olemassa olevista EUV-koneista erilaisilla temppuilla ja prosessiparannuksilla, jotka kuuluvat k1-tekijän sateenvarjon alle.

Mielenkiintoista myös: Kuinka Taiwan, Kiina ja Yhdysvallat taistelevat teknologisesta valta-asemasta: suuri siru sota

Vaihda 3D:hen

Nyt ollaan siirtymässä epävarman tulevaisuuden vyöhykkeelle, tutkimustyöhön ja yleisiin oletuksiin, ei konkreettisiin suunnitelmiin. Yhteisö on kuitenkin melko yksimielinen siitä, että tulee aika, jolloin transistorit on pinottava päällekkäin, kun X:n ja Y:n skaalaus käytännössä saavuttaa rajansa. Tällä hetkellä P-tyypin ja N-tyypin transistorit on sijoitettu vierekkäin. Tavoitteena on pinota N-tyypin transistorit P-tyypin transistoreiden päälle, jolloin luodaan transistoreista "sandwidges", joita kutsutaan CFETeiksi (complementary FET). Kahta päämenetelmää tällaisen suunnittelun saavuttamiseksi tutkitaan: monoliittinen, jossa koko rakenne on rakennettu yhdelle levylle, ja peräkkäinen, jossa N- ja P-tyyppiset transistorit valmistetaan erillisille levyille, jotka "liimataan" yhteen.

Piirisarja

Asiantuntijoiden mukaan mikroprosessorien tuotannon markkinat siirtyvät kolmanteen ulottuvuuteen vuosien 2032-2034 tienoilla. Tällä hetkellä tiedetään, että Intel ja TSMC työskentelevät intensiivisesti tämän tekniikan toteuttamisessa, mutta Samsung, ei luultavasti myöskään nuku, koska tämän ratkaisun mahdolliset hyödyt ovat valtavat.

Mielenkiintoista myös: Universumi: Epätavallisimmat avaruusobjektit

Siirtyminen "kaksi ulottuvuuteen"

Toinen ongelma, jota mikropiirivalmistuksen maailman johtajat yrittävät selviytyä, on se, että piistä on banaalinen pula. Tämä elementti on palvellut meitä uskollisesti useita vuosikymmeniä, mutta sen rajallinen määrä alkaa tehdä mahdottomaksi valmistaa pienempiä ja nopeampia transistoreita. Siksi tutkitaan niin sanottuja kaksiulotteisia materiaaleja, jotka voisivat korvata piin transistorikanavassa, ympäri maailmaa. Nämä ovat materiaaleja, joiden paksuus voi olla useita tai vain yksi atomi ja jotka tarjoavat sähkövarauksen liikkuvuutta, jota ei ole saatavilla tämän paksuisille piipuolijohteille.

Piirisarja

Tunnetuin kaksiulotteinen materiaali on grafeeni. Vaikka sen käyttöä sirutuotannossa tutkitaan vielä, luonnollisen energiavajeen puuttuessa on kyseenalaista, tullaanko sitä koskaan käyttämään teollisessa mittakaavassa puolijohteiden valmistukseen. Kuitenkin TMD-yhdisteitä (Transition Metal Dichalcogenides - jaksollisen järjestelmän d-lohkon siirtymämetallien ja jaksollisen järjestelmän 16 ryhmän kalkogeenien yhdisteitä) käyttävä tutkimus, kuten esim. Intelin ja TSMC:n suorittamat MoS 2 ja WSe 2 näyttävät varsin lupaavilta. Näemme niiden seuraukset seuraavan vuosikymmenen aikana.

Lue myös:

Mielenkiintoiset ajat ovat edessä

Yhteenvetona totean, että tulevat vuodet ovat täynnä innovaatioita ja vallankumouksia puolijohdetuotannon alalla. Yllä kuvatut innovaatiot eivät edes tyhjennä aihetta, koska emme maininneet mitään tietokonelitografiasta, emme sirujen kehityksestä emmekä mahdollisesta siirtymisestä Glass-prosessoripohjaan. Emme myöskään puhuneet muistin tuotannon edistymisestä.

Piirisarja

Kaikki tietävät, että tällaiset käännekohdat ovat ihanteellisia teknologisen viiveen saavuttamiseksi, koska on suuri todennäköisyys, että kilpailijat epäonnistuvat. Intel asetti jopa yrityksen koko tulevaisuuden panoksiin, jotta se voisi tarjota seuraavan puolijohdeinnovoinnin kilpailijoita nopeammin. Yhdysvaltain hallitus on myös erittäin kiinnostunut tuomaan huippuluokan sirujen tuotannon takaisin Pohjois-Amerikkaan, minkä vuoksi se investoi miljardeja dollareita Intelin kehittämiseen. Sirutuet eivät kuitenkaan ole vain amerikkalaisten mielenkiinnon kohde. Koreassa ja Taiwanissa hallitukset tarjoavat myös anteliaita etuuksia Samsung ja TSMC, koska he tietävät, kuinka tärkeä tuleva ajanjakso on ja kuinka paljon näiden maiden tulevaisuus riippuu uusista teknologioista. Muun muassa siksi, että heillä on takanaan Kiina, joka myös investoi valtavia summia puolijohdetuotannon tutkimukseen, kehittämiseen ja kehittämiseen, mutta tämä on jo toisen artikkelin aihe.

Lue myös: 

Yuri Svitlyk
Yuri Svitlyk
Karpaattien poika, tuntematon matematiikan nero, "lakimies"Microsoft, käytännöllinen altruisti, vasen-oikea
- Mainos -
Kirjaudu
Ilmoita asiasta
vieras

0 Kommentit
Upotetut arvostelut
Näytä kaikki kommentit