Root NationLidwoordTechnologieënTransistors van de toekomst: een nieuw chipstijdperk wacht op ons

Transistors van de toekomst: een nieuw chipstijdperk wacht op ons

-

Vandaag zullen we het hebben over de transistors van de toekomst en alle geheimen van hun creatie onthullen. Nu al wordt het duidelijk dat we te maken hebben met een periode van enorme veranderingen in de structuur en de methode van de chipproductie, die de markt al heel lang niet meer heeft gezien. De grootste geesten ter wereld brengen slapeloze nachten door met de vraag welke formule ze moeten gebruiken om individuele atomen precies zo te laten dansen als ze moeten, en dingen te laten doen die de wetten van de natuurkunde lijken te tarten.

chipset

Het zal ook een periode zijn van verhoogde concurrentie tussen halfgeleidergiganten uit de VS, Korea en Taiwan. Zij zijn degenen die proberen te profiteren van de komende paradigmaverschuiving om hun positie als technologische leiders te herstellen, te verwerven of te versterken. Welke innovaties en revoluties staan ​​ons te wachten? Laten we het vandaag proberen uit te leggen.

Lees ook: Wat is AMD XDNA? De architectuur die AI op Ryzen-processors aandrijft

Het veranderen van de geometrie van transistors

Of beter gezegd, hun doelen zullen veranderen. De eerste innovatie die zal worden (of werd!) gepresenteerd door de drie grote halfgeleiderfabrikanten (TSMC, Intel, Samsung), dit zijn de zogenaamde GAAFET-transistors. Dit is de eerste grote verandering in de transistorgeometrie sinds 2011, toen de wereld de FinFET-transistoren van Intel zag. Ik wil niet te veel stilstaan ​​bij het onderwerp GAAFET's, omdat daarvoor een apart artikel nodig is. Hier zullen we alleen het concept erachter bespreken.

chipset
Planaire transistor

Met de miniaturisering van transistors begonnen ingenieurs de zogenaamde kortekanaaleffecten te ervaren. Kortom, naarmate de afstand tussen de drain en de drain van de transistor kleiner werd, werd het probleem steeds groter. Dat wil zeggen, de sluiter begon de controle te verliezen over de stroom die door het kanaal vloeide. Tien jaar lang was de oplossing voor dit probleem de manier waarop je het kanaal als een vin uit het oppervlak van de siliciumwafel kon laten steken (vandaar de Fin, of vin, in FinFET). Hierdoor kan de poort aan drie zijden contact maken met het kanaal (of twee als de rand een wigvormige dwarsdoorsnede heeft), waardoor hij meer controle heeft over de stroomstroming en meer flexibiliteit bij het aanpassen van de elektrische parameters van de transistors aan de behoeften van de transistor. ontwerp.

chipset

Door de gestage afname van het aantal transistors was dit echter niet langer voldoende. Het was noodzakelijk dat de poort het transistorkanaal begon te omringen, dat wil zeggen dat het GAAFET-transistors vormde (GAA is een afkorting voor Gate-All-Around). Simpel gezegd kun je ze zien als FinFET-transistors die aan één kant zijn geplaatst, omdat FinFET-transistors vaak twee of drie randen hebben. Het is als een meerlaagse sandwich, waarin kanalen in de vorm van buizen of platen, die zich boven elkaar bevinden, gescheiden zijn door lagen isolator en poort. Hoewel dit concept al vele jaren bekend is en gebruik maakt van bestaande apparatuur en processen, is de implementatie ervan niet triviaal. Het probleem is dat op een gegeven moment de volgende lagen van het kanaal in de lucht hangen, alleen ondersteund door een tijdelijke "pilaar". Tegelijkertijd moet hun onderste deel gelijkmatig worden bedekt met een laag diëlektricum met een dikte van één atoom, en vervolgens alle lege ruimtes zorgvuldig met materiaal vullen.

Het feit dat GAAFET's niet triviaal zijn, wordt benadrukt door de situatie met Samsung. Sinds 2022 beschikt de Koreaanse portefeuille over een proces met MBCFET-transistors (marketingnaam Samsung om GAAFET-transistors te implementeren). In de praktijk is dit echter een typische Pyrrusoverwinning in de koers. Feit is dat het percentage volledig functionele chips dat ermee wordt verkregen zo laag is dat bijna niemand het in productie wil gebruiken (zelfs niet... Samsung voor uw Exyno's). Het enige dat we weten is dat het wordt gebruikt om kleine en relatief eenvoudige chips te produceren voor cryptocurrency-mijnwerkers. Alleen de tweede generatie van dit proces, die in 2024 beschikbaar zal zijn, genaamd 3GAP (hoewel sommige bronnen zeggen dat deze kan worden hernoemd naar het 2nm-klasseproces), zal naar verwachting op grotere schaal worden gebruikt.

- Advertentie -

GAAFET-transistoren (Intel noemt de implementatie RibbonFET) zouden dit jaar aan Intel-fabrieken moeten worden geleverd als onderdeel van Intel's 20A- en 18A-processen, die zullen worden gebruikt om componenten te vervaardigen voor de Arrow Lake- en Lunar Lake-systemen. Verschillende geruchten uit de sector suggereren echter dat de initiële productieschaal beperkt kan zijn.

chipset

Hoe zit het met TSMC? Het Taiwanese bedrijf is van plan GAAFET-transistoren te gebruiken in zijn N2-proces, dat naar verwachting pas in 2025 volledig gereed zal zijn. Theoretisch later dan in Samsung en Intel, maar als TSMC het heeft over een bepaald proces, betekent dit meestal dat je klaar bent om iets voor te produceren Apple і Nvidia, dus in de praktijk kan het verschil veel kleiner zijn.

Lees ook: Alles over de Neuralink Telepathy-chip: wat het is en hoe het werkt

Het veranderen van de manier waarop transistors worden gevoed

De tweede innovatie die ons te wachten staat, heeft betrekking op de manier waarop transistors in microcircuits van stroom zullen worden voorzien. Momenteel vindt het productieproces van een microprocessor plaats in lagen van onder naar boven. Transistors worden hieronder gebouwd, vervolgens worden verbindingsnetwerken erboven gebouwd en vervolgens stroomkabels. Er zijn doorgaans tien tot meer dan twintig lagen, en hoe hoger de laag, hoe groter de elementen.

De komende jaren zal de standaard zijn dat na het maken van de verbindingen tussen de transistors, de siliciumwafel wordt omgedraaid en dunner wordt, en dat de stroompaden aan de andere, gepolijste kant van de wafer worden gecreëerd. Dit betekent dat de transistors als een pasteitje in een burger zullen zijn, en niet als de bodem van een cake.

chipset

Het is gemakkelijk te raden hoeveel het het productieproces van de chip zal compliceren, maar volgens de eerste experimenten brengt het BSPDN-proces (Back Side Power Delivery Network) veel voordelen met zich mee. Ten eerste kunnen dankzij deze aanpak transistors dichter bij elkaar worden geplaatst. Ten tweede zal het totale aantal lagen kleiner zijn. Ten derde zullen de verbindingen vanaf het hoogste niveau van de voeding naar de transistor korter zijn. En dit betekent minder energieverlies en de mogelijkheid om de voedingsspanning te verlagen. De exacte manieren om deze oplossing te implementeren kunnen variëren qua complexiteit en potentiële voordelen, maar alle grote spelers op de markt zeggen dat het spel zeker de moeite waard is.

Later dit jaar zullen we BSPDN voor het eerst in actie zien in Intel Process 20A (Intel noemt de implementatie ervan PowerVia). Intel heeft deze snelle ontwikkeling te danken aan het feit dat het al een tijdje aan deze technologie werkt, ongeacht het werk aan het veranderen van de geometrie van transistors en het gebruik van nieuwere machines. Dit betekent dat ze het in vrijwel elk toekomstig proces kan integreren.

Samsung heeft nog geen officiële informatie verstrekt over wanneer het zijn versie van het BSPDN-feedbackproces zal gaan gebruiken. Er is niet veel nieuws, maar we weten dat Intel al met deze oplossing experimenteert. En geruchten uit de industrie spreken over de mogelijkheid van implementatie ervan in het SF2-proces, gepland voor 2025, of in het volgende, dat gepland is voor 2027.

TSMC neemt ook de tijd op dit gebied en meldt dat, hoewel de eerste experimenten goede resultaten opleveren, het van plan is BSPDN te introduceren in het N2P-proces, dat pas rond de jaarwisseling van 2026 en 2027 zal worden geïmplementeerd.

Lees ook: Teleportatie vanuit een wetenschappelijk oogpunt en zijn toekomst

Wijziging van plaatbelichtingsmachines

Geen enkel serieus gesprek over de productie van microprocessors is compleet zonder het Rayleigh-criterium te noemen. In het geval van lithografie, dat wil zeggen het proces van het belichten van siliciumwafels, heeft dit de vorm van de volgende formule:

- Advertentie -

CD = k1 • λ / NA.

Simpel gezegd betekent dit dat de grootte van het kleinste element dat door licht op het oppervlak van een siliciumwafel kan worden gecreëerd, afhankelijk is van drie getallen:

k1 is in de praktijk een dimensieloze coëfficiënt die de efficiëntie van het proces aangeeft;
λ is de golflengte van het licht dat de plaat verlicht;
NA is de numerieke apertuur van het optische systeem.

Jarenlang was de belangrijkste manier om de pakkingsdichtheid van transistors te vergroten het gebruik van licht met steeds kortere golflengten. We zijn begonnen op het niveau van een paar honderd nanometer en konden relatief snel overstappen op het gebruik van licht met een golflengte van 193 nm, waar de halfgeleiderwereld al veel langer aan vastzit dan ze wilde. Na jaren van onderzoek, vertragingen en miljarden dollars uitgegeven, kwamen de UV-lithografiemachines van ASML in 2019 eindelijk op de markt. Ze maken gebruik van ultraviolet licht (EUV) met een golflengte van ongeveer 13,5 nm en worden nu gebruikt in alle geavanceerde chipfabrieken. Dit is echter waarschijnlijk de laatste keer dat λ met succes werd gereduceerd in de bovenstaande formule.

chipset

Daarom zul je moeten spelen met het veranderen van de NA. Je kunt NA zien als het diafragma van een cameralens. Dit dimensieloze getal bepaalt hoeveel licht het optische systeem verzamelt. In het geval van lithografische machines betekent dit (volgens de bovenstaande formule) dat als we steeds kleinere kenmerken willen maken, hoe hoger de NA moet zijn. ASML-machines die momenteel in gebruik zijn, hebben een NA van 0,33. De volgende stap zijn machines met een hoge numerieke apertuur van het optische systeem, die een NA van 0,55 hebben.

Het klinkt eenvoudig, maar niets is eenvoudig in deze branche. Dit wordt het best geïllustreerd door het feit dat de High-NA-machines veel groter en meer dan twee keer zo duur zijn als hun voorgangers (ongeveer $400 miljoen versus ongeveer $150 miljoen), terwijl ze ook minder doorvoer hebben. Hoewel iedereen weet dat dit de toekomst is van de productie van de meest geavanceerde processors, wordt dit daarom vaak gezien als een vorm van noodzakelijk kwaad.

chipset

Intel was de snelste die EUV High-NA-machines gebruikte. Het Amerikaanse bedrijf heeft al de eerste beschikbare machine van dit type aangeschaft, die momenteel wordt geïnstalleerd in een van de fabrieken van het bedrijf in Oregon. Bovendien is Intel van plan de meeste machines die dit jaar worden geproduceerd, te kopen. Bekend is dat de ontwikkelaars van plan zijn High-NA-lithografie op grote schaal te gaan gebruiken in het 14A-proces, dat naar verwachting in 2026 of 2027 het levenslicht zal zien (als alles volgens plan verloopt).

Tegelijkertijd, Samsung en TSMC hebben geen haast en twijfelen aan het economische nut van het gebruik van deze apparatuur tot de implementatie van het 1-nm-proces, dat wil zeggen tot ongeveer 2030. In plaats daarvan zijn ze van plan het beste uit de EUV-machines te halen die ze al hebben met verschillende trucs en procesverbeteringen die onder de paraplu van de k1-factor vallen.

Ook interessant: Hoe Taiwan, China en de VS vechten om technologische dominantie: de grote chipoorlog

Schakel over naar 3D

Nu beginnen we ons in de zone van een onzekere toekomst te begeven, onderzoek en algemene aannames, geen concrete plannen. De gemeenschap is het er echter vrijwel unaniem over eens dat er een tijd zal komen waarin transistors op elkaar moeten worden gestapeld, omdat de X- en Y-schaling praktisch hun limiet bereiken. Momenteel worden P-type en N-type transistors naast elkaar geplaatst. Het doel is om N-type transistors op P-type transistors te stapelen, waardoor ‘sandwiches’ van transistors ontstaan ​​die CFET’s (complementaire FET’s) worden genoemd. Er worden twee belangrijke methoden bestudeerd om een ​​dergelijk ontwerp te bereiken: monolithisch, waarbij de hele structuur op één plaat wordt gebouwd, en sequentieel, waarbij N- en P-type transistors worden vervaardigd op afzonderlijke platen die aan elkaar worden "gelijmd".

chipset

Volgens deskundigen zal de markt voor de productie van microprocessors rond 2032-2034 de derde dimensie betreden. Momenteel is bekend dat Intel en TSMC intensief werken aan hun implementaties van deze technologie, maar Samsung, slaapt waarschijnlijk ook niet, omdat de potentiële voordelen van het gebruik van deze oplossing enorm zijn.

Ook interessant: Universum: de meest ongewone ruimtevoorwerpen

Overgang naar "twee dimensies"

Een ander probleem waarmee de leiders van de wereld van de productie van microcircuits het hoofd proberen te bieden, is dat er een banaal tekort aan silicium bestaat. Dit element heeft ons al tientallen jaren trouw gediend, maar de beperkte hoeveelheid ervan begint het onmogelijk te maken om nog kleinere en snellere transistors te vervaardigen. Daarom wordt er over de hele wereld onderzoek gedaan naar zogenaamde tweedimensionale materialen die silicium in het transistorkanaal zouden kunnen vervangen. Dit zijn materialen waarvan de dikte meerdere of slechts één atoom kan zijn, en zorgen voor mobiliteit van elektrische lading, wat niet beschikbaar is voor siliciumhalfgeleiders van deze dikte.

chipset

Het bekendste tweedimensionale materiaal is grafeen. Hoewel het gebruik ervan bij de productie van chips nog steeds wordt onderzocht, is het, vanwege het ontbreken van een natuurlijke energiekloof, twijfelachtig of het ooit op industriële schaal zal worden gebruikt voor de productie van halfgeleiders. Onderzoek met TMD-verbindingen (Transition Metal Dichalcogenides - verbindingen van overgangsmetalen van het d-blok van het periodiek systeem en chalcogenen van de 16e groep van het periodiek systeem), zoals MoS 2 en WSe 2, uitgevoerd door Intel en TSMC, zien er veelbelovend uit. De komende tien jaar zullen we de gevolgen ervan kunnen zien.

Lees ook:

Er breken interessante tijden aan

Samenvattend stel ik vast dat de komende jaren vol innovaties en revoluties zullen zijn op het gebied van de productie van halfgeleiders. De hierboven beschreven innovaties zijn niet eens het onderwerp uitputtend, omdat we niets hebben gezegd over computerlithografie, noch over de ontwikkeling van chiplets, noch over de mogelijke overgang naar de Glass-processorbasis. We hadden het ook niet over de vooruitgang in de productie van geheugen.

chipset

Iedereen weet dat dergelijke keerpunten ideaal zijn om de technologische achterstand in te halen, aangezien de kans groot is dat concurrenten zullen falen. Intel zette zelfs de hele toekomst van het bedrijf in op het sneller kunnen aanbieden van de volgende halfgeleiderinnovatie dan de concurrentie. De Amerikaanse regering is ook zeer geïnteresseerd in het terugbrengen van de productie van ultramoderne chips naar Noord-Amerika en investeert daarom miljarden dollars in de ontwikkeling van Intel. Chipsubsidies zijn echter niet alleen een interessegebied voor Amerikanen. In Korea en Taiwan bieden de regeringen ook genereuze preferenties Samsung en TSMC, omdat ze weten hoe belangrijk de toekomstige periode is en hoeveel de toekomst van deze landen afhangt van nieuwe technologieën. Onder andere omdat ze China achter zich hebben, dat ook enorme bedragen investeert in onderzoek, ontwikkeling en ontwikkeling van de productie van halfgeleiders, maar dit is al een onderwerp voor een ander artikel.

Lees ook: 

Yuri Svitlyk
Yuri Svitlyk
Zoon van de Karpaten, onbekend genie op het gebied van de wiskunde, 'advocaat'Microsoft, praktische altruïst, links-rechts
- Advertentie -
Aanmelden
Informeer over
gast

0 Heb je vragen? Stel ze hier.
Ingesloten beoordelingen
Bekijk alle reacties