Root NationNesneteknolojilerGeleceğin transistörleri: Yeni bir çip dönemi bizi bekliyor

Geleceğin transistörleri: Yeni bir çip dönemi bizi bekliyor

-

Bugün geleceğin transistörleri hakkında konuşacağız ve onların yaratılışının tüm sırlarını açığa çıkaracağız. Pazarın uzun süredir görmediği, çip üretiminin yapısında ve yönteminde büyük değişikliklerin yaşandığı bir dönemle karşı karşıya olduğumuz bugün açıkça görülüyor. Dünyanın en büyük beyinleri, bireysel atomların tam olarak ihtiyaç duydukları şekilde dans etmesini ve fizik yasalarına meydan okuyor gibi görünen şeyleri yapmasını sağlamak için hangi formülü kullanacaklarını merak ederek uykusuz geceler geçirirler.

yongaseti

Bu aynı zamanda ABD, Kore ve Tayvan'ın yarı iletken devleri arasındaki rekabetin de arttığı bir dönem olacak. Teknolojik lider konumlarını yeniden tesis etmek, kazanmak veya güçlendirmek için yaklaşmakta olan paradigma değişiminden yararlanmaya çalışanlar onlardır. Bizi hangi yenilikler ve devrimler bekliyor? Bugün açıklamaya çalışalım.

Ayrıca okuyun: AMD XDNA nedir? Ryzen işlemcilerde yapay zekaya güç veren mimari

Transistörlerin geometrisini değiştirme

Daha doğrusu hedefleri değişecek. Üç büyük yarı iletken üreticisi (TSMC, Intel, Samsung), bunlar GAAFET transistörleri olarak adlandırılır. Bu, dünyanın Intel'in FinFET transistörlerini gördüğü 2011'den bu yana transistör geometrisinde yaşanan ilk büyük değişiklik. GAAFET'ler konusu üzerinde çok fazla durmak istemiyorum çünkü bu ayrı bir yazı gerektiriyor. Burada sadece bunların arkasındaki konsepti tartışacağız.

yongaseti
Düzlemsel transistör

Transistörlerin minyatürleştirilmesiyle birlikte mühendisler kısa kanal efektleri olarak adlandırılan etkileri deneyimlemeye başladı. Kısacası transistörün drenajı ile drenajı arasındaki mesafe kısaldıkça sorun daha da büyüdü. Yani deklanşör kanaldan akan akım üzerindeki kontrolünü kaybetmeye başladı. Bir düzine yıl boyunca bu sorunun çözümü, kanalın silikon levhanın yüzeyinden bir kanatçık (dolayısıyla FinFET'te Fin veya kanatçık) olarak çıkmasını sağlamaktı. Bu, geçidin kanala üç taraftan (ya da kenarın kama şeklinde bir kesiti varsa iki taraftan) temas etmesine izin vererek, ona akım akışı üzerinde daha fazla kontrol sağlar ve transistörlerin elektrik parametrelerini müşterinin ihtiyaçlarına göre uyarlamada daha fazla esneklik sağlar. tasarım.

yongaseti

Ancak transistörlerin sürekli azalması bunun artık yeterli olmadığı anlamına geliyordu. Kapının transistör kanalını çevrelemeye başlaması, yani GAAFET transistörlerini oluşturması gerekiyordu (GAA, Gate-All-Around'un kısaltmasıdır). Basitçe söylemek gerekirse, FinFET transistörlerin genellikle iki veya üç kenarı olduğundan bunları bir tarafa yerleştirilmiş FinFET transistörleri olarak düşünebilirsiniz. Üst üste yerleştirilmiş tüp veya levha şeklindeki kanalların yalıtkan ve geçit katmanlarıyla ayrıldığı çok katmanlı bir sandviç gibidir. Bu kavram uzun yıllardır bilinmesine ve mevcut ekipman ve süreçleri kullanmasına rağmen uygulanması önemsiz değildir. Sorun, bir aşamada kanalın sonraki katmanlarının yalnızca geçici bir "sütun" tarafından desteklenerek havada asılı kalmasıdır. Aynı zamanda alt kısımları tek atom kalınlığında bir dielektrik tabakası ile eşit şekilde kaplanmalı ve ardından tüm boş alanlar dikkatlice malzeme ile doldurulmalıdır.

GAAFET'lerin önemsiz olmadığı gerçeği, şu durumla vurgulanmaktadır: Samsung. 2022'den beri Kore portföyünde MBCFET transistörleri (pazarlama adı) ile bir süreç bulunmaktadır. Samsung GAAFET transistörlerini uygulamak için). Ancak pratikte bu, yarışta tipik bir büyük zaferdir. Gerçek şu ki, onu kullanarak elde edilen tam işlevsel çiplerin yüzdesi o kadar düşük ki neredeyse hiç kimse onu üretimde kullanmak istemiyor (hatta… Samsung Exynos'unuz için). Tek bildiğimiz, kripto para madencileri için küçük ve nispeten basit çipler üretmek için kullanıldığı. Bu sürecin yalnızca 2024 yılında kullanıma sunulacak olan 3GAP adı verilen ikinci neslinin (her ne kadar bazı kaynaklar 2nm sınıfı süreç olarak yeniden adlandırılabileceğini söylese de) daha yaygın olarak kullanılması bekleniyor.

- Reklam -

GAAFET transistörleri (Intel, uygulamasına RibbonFET adını veriyor), Arrow Lake ve Lunar Lake sistemleri için bileşenlerin üretiminde kullanılacak Intel'in 20A ve 18A süreçlerinin bir parçası olarak bu yıl Intel fabrikalarına teslim edilmeli. Ancak sektördeki çeşitli söylentiler, ilk üretim ölçeğinin sınırlı olabileceğini öne sürüyor.

yongaseti

Peki ya TSMC? Tayvanlı şirket, 2 yılına kadar tam olarak hazır olması beklenmeyen N2025 sürecinde GAAFET transistörlerini kullanmayı planlıyor. Teorik olarak daha geç Samsung ve Intel, ancak TSMC belirli bir sürece sahip olmaktan bahsettiğinde, bu genellikle bir şeyler üretmeye hazır olmak anlamına gelir. Apple і Nvidiayani pratikte fark çok daha küçük olabilir.

Ayrıca okuyun: Neuralink Telepati çipi hakkında her şey: nedir ve nasıl çalışır?

Transistörlere güç verilme şeklini değiştirmek

Bizi bekleyen ikinci yenilik ise mikro devrelerdeki transistörlerin nasıl çalıştırılacağıyla ilgili. Şu anda bir mikroişlemcinin üretim süreci aşağıdan yukarıya doğru katmanlar halinde gerçekleşmektedir. Aşağıda transistörler inşa edilir, ardından bunların üzerine bağlantı ağları ve ardından güç kabloları inşa edilir. Tipik olarak on ila yirmiden fazla katman vardır ve katman ne kadar yüksek olursa, elemanları da o kadar büyük olur.

Önümüzdeki birkaç yıl içinde standart, transistörler arasındaki bağlantıların yapılmasının ardından silikon levhanın ters çevrilmesi, inceltilmesi ve levhanın diğer, cilalı tarafında güç yollarının oluşturulması olacak. Bu, transistörlerin pasta tabanı değil, burger köftesi gibi olacağı anlamına geliyor.

yongaseti

Çip üretim sürecini ne kadar karmaşık hale getireceğini tahmin etmek kolay ancak ilk deneylere göre BSPDN (Arka Taraf Güç Dağıtım Ağı) süreci birçok avantajı da beraberinde getiriyor. Öncelikle bu yaklaşım sayesinde transistörler birbirine daha yakın yerleştirilebilir. İkincisi, toplam katman sayısı daha az olacaktır. Üçüncüsü, güç kaynağının en üst seviyesinden transistöre olan bağlantılar daha kısa olacaktır. Bu da daha az enerji kaybı ve besleme voltajının düşürülme olasılığı anlamına gelir. Bu çözümü uygulamanın kesin yolları, karmaşıklık ve potansiyel faydalar açısından farklılık gösterebilir, ancak piyasadaki tüm büyük oyuncular, oyunun kesinlikle muma değer olduğunu söylüyor.

Bu yılın ilerleyen zamanlarında BSPDN'yi ilk kez Intel Pro'da çalışırken göreceğizcess 20A (Intel, uygulamasını PowerVia olarak adlandırıyor). Intel, bu hızlı gelişimini, transistörlerin geometrisinin değiştirilmesi ve daha yeni makinelerin kullanılmasına yönelik çalışmalara rağmen bir süredir bu teknoloji üzerinde çalışıyor olmasına borçlu. Bu, onu gelecekteki neredeyse her türlü sürece entegre edebileceği anlamına geliyor.

Samsung BSPDN geri bildirim sürecinin kendi versiyonunu ne zaman kullanmaya başlayacağına dair henüz resmi bir bilgi sağlamadı. Pek fazla haber yok ancak Intel'in bu çözümü zaten denediğini biliyoruz. Sektördeki söylentiler, bunun 2 için planlanan SF2025 sürecinde veya 2027 için planlanan bir sonraki süreçte uygulanma olasılığından bahsediyor.

TSMC de bu alanda vakit ayırıyor ve ilk deneylerin iyi sonuçlar vermesine rağmen, yalnızca 2 ve 2026'nin başında uygulanması planlanan N2027P sürecine BSPDN'yi dahil etmeyi planladığını bildirdi.

Ayrıca okuyun: Bilimsel bir bakış açısıyla ışınlanma ve geleceği

Plaka pozlama makinelerinin değiştirilmesi

Rayleigh kriterinden bahsetmeden mikroişlemci üretimi hakkında hiçbir ciddi konuşma tamamlanmış sayılmaz. Litografi durumunda, yani silikon levhaların açığa çıkarılması işleminde bu, aşağıdaki formül biçimini alır:

- Reklam -

CD = k1 • λ / NA.

Basitçe söylemek gerekirse, bu, bir silikon levhanın yüzeyinde ışık tarafından oluşturulabilecek en küçük elemanın boyutunun üç sayıya bağlı olduğu anlamına gelir:

k1 pratikte sürecin verimliliğini gösteren boyutsuz bir katsayıdır;
λ plakayı aydınlatan ışığın dalga boyudur;
NA optik sistemin sayısal açıklığıdır.

Uzun yıllar boyunca transistörlerin paketleme yoğunluğunu arttırmanın ana yolu, giderek daha kısa dalga boylarına sahip ışık kullanmak olmuştur. Birkaç yüz nanometre seviyesinden başladık ve yarı iletken dünyasının istediğinden çok daha uzun süredir takılıp kaldığı 193 nm dalga boyundaki ışığın kullanımına nispeten hızlı bir şekilde geçebildik. Yıllar süren araştırmaların, gecikmelerin ve harcanan milyarlarca doların ardından 2019'da ASML'nin UV litografi makineleri nihayet piyasaya çıktı. Yaklaşık 13,5 nm dalga boyuna sahip ultraviyole ışık (EUV) kullanıyorlar ve artık tüm gelişmiş çip üretim tesislerinde kullanılıyorlar. Ancak bu muhtemelen λ'nın yukarıdaki formülde başarıyla azaltıldığı son seferdir.

yongaseti

Bu yüzden NA'yı değiştirerek biraz oynamanız gerekecek. NA'yı bir kamera merceğinin açıklığı olarak düşünebilirsiniz. Bu boyutsuz sayı, optik sistemin ne kadar ışık topladığını belirler. Litografik makineler söz konusu olduğunda bu, (yukarıdaki formüle göre) giderek daha küçük özellikler yapmak istiyorsak NA'nın ne kadar yüksek olması gerektiği anlamına gelir. Şu anda kullanımda olan ASML makinelerinin NA'sı 0,33'tür. Bir sonraki adım, NA'sı 0,55 olan, optik sistemin yüksek sayısal açıklığına sahip makinelerdir.

Kulağa basit geliyor ama bu işte hiçbir şey basit değil. Bunun en iyi örneği, High-NA makinelerinin öncekilerden çok daha büyük ve iki kat daha pahalı olması (yaklaşık 400 milyon dolara karşı yaklaşık 150 milyon dolar) ve aynı zamanda daha az iş hacmine sahip olmasıdır. Bu nedenle, herkes en gelişmiş işlemcilerin üretiminin geleceğinin bu olduğunu bilse de, bu genellikle gerekli bir kötülük olarak algılanır.

yongaseti

EUV High-NA makinelerini en hızlı kullanan Intel oldu. Amerikan şirketi, şu anda şirketin Oregon'daki fabrikalarından birinde kurulu olan bu tipteki ilk makineyi zaten satın aldı. Ayrıca Intel bu yıl üretilen makinelerin çoğunu satın almayı planlıyor. Geliştiricilerin, (her şey planlandığı gibi giderse) 14 veya 2026 yılında gün ışığına çıkması beklenen 2027A sürecinde High-NA litografiyi geniş ölçekte kullanmayı planladıkları biliniyor.

Eşzamanlı, Samsung ve TSMC'nin acelesi yok ve 1 nm sürecinin uygulanmasına kadar, yani yaklaşık 2030 yılına kadar bu ekipmanı kullanmanın ekonomik anlamından şüphe ediyorlar. Bunun yerine, k1 faktörünün şemsiyesi altına giren çeşitli hileler ve süreç iyileştirmeleriyle, halihazırda sahip oldukları EUV makinelerinden en iyiyi elde etmeyi planlıyorlar.

Ayrıca ilginç: Tayvan, Çin ve ABD teknolojik hakimiyet için nasıl savaşıyor: büyük çip savaşı

3D'ye geç

Artık somut planların değil, belirsiz bir geleceğin, araştırma çalışmalarının ve genel varsayımların olduğu bölgeye doğru ilerlemeye başlıyoruz. Ancak topluluk, X ve Y ölçeklendirmesinin pratik olarak sınırına ulaşması nedeniyle transistörlerin üst üste istiflenmesinin gerekeceği bir zamanın geleceği konusunda oldukça hemfikir. Şu anda P tipi ve N tipi transistörler yan yana yerleştirilmiştir. Amaç, N-tipi transistörleri P-tipi transistörlerin üzerine istiflemek, böylece CFET'ler (tamamlayıcı FET'ler) adı verilen transistörlerden oluşan "sandviçler" oluşturmaktır. Böyle bir tasarıma ulaşmanın iki ana yöntemi üzerinde çalışılmaktadır: tüm yapının tek bir plaka üzerine inşa edildiği monolitik ve N ve P tipi transistörlerin birbirine "yapıştırılmış" ayrı plakalar üzerinde üretildiği sıralı.

yongaseti

Uzmanlara göre mikroişlemci üretim pazarı 2032-2034 civarında üçüncü boyuta girecek. Şu anda Intel ve TSMC'nin bu teknolojinin uygulanması üzerinde yoğun bir şekilde çalıştıkları biliniyor ancak Samsung, muhtemelen uyumuyor çünkü bu çözümü kullanmanın potansiyel faydaları çok büyük.

Ayrıca ilginç: Evren: En sıradışı uzay nesneleri

"İki boyuta" geçiş

Mikro devre üretimi dünyasının liderlerinin baş etmeye çalıştığı bir diğer sorun da sıradan bir silikon kıtlığının olmasıdır. Bu element onlarca yıldır bize sadakatle hizmet ediyor ancak sınırlı miktarı, daha küçük ve daha hızlı transistörlerin üretilmesini imkansız hale getirmeye başlıyor. Bu nedenle transistör kanalındaki silikonun yerini alabilecek iki boyutlu malzemelere yönelik araştırmalar tüm dünyada devam ediyor. Bunlar, kalınlığı birkaç veya yalnızca bir atom olabilen ve bu kalınlıktaki silikon yarı iletkenlerde bulunmayan elektrik yükünün hareketliliğini sağlayan malzemelerdir.

yongaseti

En ünlü iki boyutlu malzeme grafendir. Çip üretiminde kullanımı halen araştırılıyor olsa da, doğal enerji açığının olmaması nedeniyle, yarı iletken üretiminde endüstriyel ölçekte kullanılıp kullanılamayacağı şüphelidir. Bununla birlikte, TMD bileşiklerini (Geçiş Metali Dikhalkojenitler - periyodik tablonun d bloğunun geçiş metallerinin bileşikleri ve periyodik tablonun 16. grubunun kalkojenlerinin bileşikleri) kullanan araştırmalar, örneğin Intel ve TSMC tarafından yürütülen MoS 2 ve WSe 2 oldukça umut verici görünüyor. Bunların sonuçlarını önümüzdeki on yılda görebileceğiz.

Ayrıca okuyun:

İlginç zamanlar kapıda

Özetle önümüzdeki yılların yarı iletken üretimi alanında yenilikler ve devrimlerle dolu olacağını belirtiyorum. Yukarıda açıklanan yenilikler konuyu kapsamıyor bile çünkü bilgisayar litografisinden, yongaların geliştirilmesinden veya Glass işlemci tabanına potansiyel geçişten bahsetmedik. Hafıza üretimindeki ilerlemeden de bahsetmedik.

yongaseti

Rakiplerin başarısız olma ihtimali yüksek olduğundan, bu tür dönüm noktalarının teknolojik gecikmeyi yakalamak için ideal olduğunu herkes biliyor. Hatta Intel, şirketin tüm geleceğini bir sonraki yarı iletken yeniliğini rakiplerinden daha hızlı sunabilmeye bağladı. ABD hükümeti aynı zamanda en son teknolojiye sahip çiplerin üretimini Kuzey Amerika'ya geri getirmekle de çok ilgileniyor, bu nedenle Intel'in geliştirilmesine milyarlarca dolar yatırım yapıyor. Ancak çip sübvansiyonları sadece Amerikalıların ilgilendiği bir alan değil. Kore ve Tayvan'da hükümetler de cömert tercihler sağlıyor Samsung ve TSMC, çünkü gelecek dönemin ne kadar önemli olduğunu ve bu ülkelerin geleceğinin ne kadar yeni teknolojilere bağlı olduğunu biliyorlar. Diğer şeylerin yanı sıra, arkalarında yarı iletken üretiminin araştırılması, geliştirilmesi ve geliştirilmesine de büyük miktarda yatırım yapan Çin var, ancak bu zaten başka bir makalenin konusu.

Ayrıca okuyun: 

Yuri Svitlyk
Yuri Svitlyk
Karpat Dağları'nın oğlu, tanınmayan matematik dehası, "avukat"Microsoft, pratik fedakar, sol-sağ
- Reklam -
Üye olmak
hakkında bilgilendir
konuk

0 Yorumlar
Gömülü İncelemeler
Tüm yorumları görüntüle