Root Nation文章技术未来的晶体管:芯片的新时代正在等待着我们

未来的晶体管:芯片的新时代正在等待着我们

-

今天我们将讨论未来的晶体管并揭示其创造的所有秘密。今天已经很清楚,我们正面临着芯片生产结构和方法发生巨大变化的时期,这是市场很长时间没有看到的。世界上最伟大的头脑都在不眠之夜思考如何使用公式来使单个原子完全按照它们需要的方式跳舞,并做一些看似违反物理定律的事情。

芯片组

这也将是美国、韩国和台湾半导体巨头之间竞争加剧的时期。他们试图利用即将到来的范式转变来恢复、获得或加强自己作为技术领导者的地位。什么样的创新和革命在等待着我们?今天我们来试着解释一下。

另请阅读: 什么是 AMD XDNA?在 Ryzen 处理器上为 AI 提供支持的架构

改变晶体管的几何形状

或者更确切地说,他们的目标将会改变。三大半导体制造商(台积电、英特尔、 Samsung),这些就是所谓的 GAAFET 晶体管。这是自 2011 年全世界看到英特尔 FinFET 晶体管以来晶体管几何结构的首次重大变化。我不想过多讨论 GAAFET 的主题,因为这需要一篇单独的文章。这里我们只讨论它们背后的概念。

芯片组
平面晶体管

随着晶体管的小型化,工程师开始体验所谓的短沟道效应。简而言之,随着晶体管漏极与漏极之间的距离越来越短,问题也变得越来越大。也就是说,快门开始失去对流经通道的电流的控制。十几年来,解决这个问题的方法就是如何让沟道作为鳍从硅晶圆表面突出(因此称为Fin,或FinFET中的鳍片)。这允许栅极在三个侧面(如果边缘具有楔形横截面则为两个侧面)接触沟道,从而使其能够更好地控制电流,并更灵活地调整晶体管的电气参数以满足晶体管的需求。设计。

芯片组

然而,晶体管数量的稳步减少意味着这已经不够了。栅极开始包围晶体管沟道,即形成GAAFET晶体管(GAA是Gate-All-Around的缩写)。简而言之,您可以将它们视为放置在一侧的 FinFET 晶体管,因为 FinFET 晶体管通常具有两个或三个边缘。它就像一个多层三明治,其中管道或片材形式的通道一层一层地位于另一层之上,并被绝缘体和栅极层分隔开。尽管这一概念已为人所知多年,并且使用现有设备和工艺,但其实施并非易事。问题是,在某个阶段,通道的后续层悬挂在空中,仅由临时“支柱”支撑。同时,它们的下部应该均匀地覆盖一层厚度为单个原子的电介质,然后小心地用材料填充所有空的空间。

以下情况凸显了 GAAFET 并非微不足道的事实: Samsung。自 2022 年起,韩国产品组合采用 MBCFET 晶体管(营销名称 Samsung 来实现 GAAFET 晶体管)。然而,实际上,这是一场典型的得不偿失的比赛胜利。事实是,使用它获得的全功能芯片的百分比是如此之低,以至于几乎没有人愿意在生产中使用它(甚至...... Samsung 对于您的 Exynos)。我们所知道的是,它用于为加密货币矿工生产小型且相对简单的芯片。只有将于 2024 年推出的第二代工艺,称为 3GAP(尽管有消息称其可能更名为 2nm 级工艺),预计会得到更广泛的应用。

- 广告 -

GAAFET 晶体管(英特尔将其实施称为 RibbonFET)应作为英特尔 20A 和 18A 工艺的一部分,于今年交付给英特尔工厂,该工艺将用于制造 Arrow Lake 和 Lunar Lake 系统的组件。不过,各种行业传闻表明,初期生产规模可能有限。

芯片组

台积电呢?这家台湾公司计划在其 N2 工艺中使用 GAAFET 晶体管,预计要到 2025 年才能完全准备好。理论上晚于 Samsung 和英特尔,但当台积电谈论拥有某种工艺时,通常意味着准备好生产一些东西 Apple і Nvidia,因此实际上差异可能要小得多。

另请阅读: 关于 Neuralink Telepathy 芯片的所有信息:它是什么以及它如何工作

改变晶体管的供电方式

等待我们的第二项创新与微电路中晶体管的供电方式有关。目前,微处理器的制造过程是自下而上分层进行的。下面建晶体管,上面建连接网络,然后是电源线。通常有十到二十多层,层越高,其元素越大。

在接下来的几年里,标准将是在晶体管之间形成结之后,硅晶圆将被翻转、变薄,并在晶圆的另一抛光面上创建电源路径。这意味着晶体管将像汉堡中的肉饼,而不是蛋糕的底部。

芯片组

很容易猜测它会使芯片制造工艺变得多么复杂,但根据第一个实验,BSPDN(背面供电网络)工艺带来了许多优势。首先,由于这种方法,晶体管可以放置得彼此更近。其次,总层数会更少。第三,从电源最高层到晶体管的连线会更短。这意味着更少的能量损失和降低电源电压的可能性。实施该解决方案的具体方法可能因复杂性和潜在收益而异,但市场上的所有主要参与者都表示该游戏绝对值得。

今年晚些时候,我们将首次在 Intel Pro 中看到 BSPDN 的应用cess 20A(英特尔将其实施称为 PowerVia)。英特尔的快速发展归功于它已经在这项技术上工作了一段时间,无论是改变晶体管的几何形状还是使用更新的机器。这意味着她将能够将其集成到几乎任何未来的流程中。

Samsung 尚未提供任何关于何时开始使用其 BSPDN 反馈流程版本的官方信息。目前还没有太多消息,但我们知道英特尔已经在尝试这种解决方案。业界传言称其有可能在计划于 2 年实施的 SF2025 工艺中实施,或在计划于 2027 年实施的下一个工艺中实施。

台积电也在这方面花了不少时间,并报告称,虽然首批实验带来了良好的结果,但它打算将 BSPDN 引入 N2P 工艺,计划在 2026 年和 2027 年之交实施。

另请阅读: 从科学的角度来看隐形传态及其未来

更换晒版机

如果不提及瑞利准则,关于微处理器制造的严肃讨论就不完整。对于光刻,即曝光硅晶片的过程,其采用以下公式的形式:

- 广告 -

CD = k1 • λ / NA。

简而言之,这意味着光可以在硅晶片表面产生的最小元素的尺寸取决于三个数字:

k1在实际中是一个无量纲系数,表示过程的效率;
λ是照射板的光的波长;
NA是光学系统的数值孔径。

多年来,增加晶体管封装密度的主要方法是使用波长越来越短的光。我们从几百纳米级别开始,并能够相对较快地转向使用 193 nm 波长的光,而半导体世界在这一点上停留的时间比预想的要长得多。经过多年的研究、拖延和花费数十亿美元,2019年ASML的UV光刻机终于上市。它们使用波长约为13,5纳米的紫外光(EUV),现在被用于所有先进的芯片制造工厂。然而,这可能是上式中最后一次成功减少 λ。

芯片组

这就是为什么你必须尝试改变 NA。您可以将 NA 视为相机镜头的光圈。这个无量纲数决定了光学系统收集的光量。就光刻机而言,这意味着(根据上面的公式)如果我们想要制造越来越小的特征,NA 应该越高。目前使用的 ASML 机器的 NA 为 0,33。下一步是具有高数值孔径光学系统的机器,其 NA 为 0,55。

听起来很简单,但这个行业没有什么是简单的。最能说明这一点的事实是,高 NA 机器比其前身大得多,价格高出两倍多(约 400 亿美元,而约 150 亿美元),同时吞吐量也较低。因此,虽然每个人都知道这是制造最先进处理器的未来,但它常常被视为一种必要的邪恶形式。

芯片组

英特尔是使用 EUV High-NA 机器最快的。这家美国公司已经购买了第一台此类机器,目前正在该公司位于俄勒冈州的一家工厂安装。此外,英特尔还计划购买今年生产的大部分机器。据了解,开发人员计划在14A工艺中大规模使用高NA光刻技术,预计在2026年或2027年问世(如果一切按计划进行)。

同时地, Samsung 而台积电并不着急,怀疑使用这种设备的经济意义,直到1纳米工艺实施,也就是到2030年左右。相反,他们打算通过 k1 因子范围内的各种技巧和工艺改进,充分利用已有的 EUV 机器。

也很有趣: 台湾、中国和美国如何争夺技术主导地位:芯片大战

切换到 3D

现在我们开始进入一个不确定的未来区域,研究工作和一般假设,而不是具体计划。然而,社区一致认为,随着 X 和 Y 缩放实际上达到极限,晶体管将需要相互堆叠。目前,P型和N型晶体管彼此相邻放置。目标是将 N 型晶体管堆叠在 P 型晶体管之上,从而形成称为 CFET(互补 FET)的晶体管“三明治”。目前正在研究实现这种设计的两种主要方法:单片式,其中整个结构构建在一块板上;以及顺序式,其中N型和P型晶体管在“粘合”在一起的单独板上制造。

芯片组

据专家预测,微处理器生产市场将在2032-2034年左右进入第三次元。目前,据了解英特尔和台积电正在加紧研究该技术的实施,但 Samsung,可能也没有睡觉,因为使用此解决方案的潜在好处是巨大的。

也很有趣: 宇宙:最不寻常的太空物体

向“二维”过渡

微电路制造领域的领导者正在努力解决的另一个问题是硅的普遍短缺。这种元素已经忠实地为我们服务了几十年,但其数量有限,开始使其不可能进一步制造更小、更快的晶体管。因此,世界各地正在对可以替代晶体管沟道中的硅的所谓二维材料进行研究。这些材料的厚度可以是几个原子或只有一个原子,并提供电荷迁移率,这是这种厚度的硅半导体所不具备的。

芯片组

最著名的二维材料是石墨烯。尽管其在芯片生产中的应用仍在探索中,但由于缺乏自然能隙,它是否能在工业规模上用于半导体生产还值得怀疑。然而,使用 TMD 化合物(过渡金属二硫属化物 - 周期表 d 区过渡金属和周期表第 16 族硫属元素的化合物)的研究,例如 由英特尔和台积电共同开展的 MoS 2 和 WSe 2 看起来很有前景。我们将在未来十年看到它们的后果。

另请阅读:

有趣的时刻即将到来

总而言之,我注意到未来几年半导体生产领域将充满创新和革命。上述创新甚至没有穷尽主题,因为我们没有提到任何有关计算机光刻的内容,也没有提到小芯片的开发,也没有提到向 Glass 处理器基础的潜在过渡。我们也没有谈论内存生产的进展。

芯片组

大家都知道,这样的转折点是追赶技术落后的理想时机,因为竞争对手很可能会失败。英特尔甚至将公司的整个未来押注于能够比竞争对手更快地提供下一代半导体创新。美国政府也对将最先进芯片的生产带回北美非常感兴趣,这就是为什么它投资数十亿美元用于英特尔的开发。然而,芯片补贴不仅仅是美国人感兴趣的领域。在韩国和台湾,政府也提供丰厚的优惠 Samsung 还有台积电,因为他们知道未来一段时间有多么重要,这些国家的未来有多么依赖于新技术。除此之外,因为他们背后有中国,中国也在半导体生产的研发和开发上投入了大量资金,但这已经是另一篇文章的主题了。

另请阅读: 

Yuri Svitlyk
Yuri Svitlyk
喀尔巴阡山脉之子、不为人知的数学天才、“律师”Microsoft,实用的利他主义者,左右
- 广告 -
注册
通知关于
客人

0 评论
嵌入式评论
查看所有评论